
History of IEEE Micro in 259 Chapters
About
- This is a curated and experimental site, offering historical and visual index of all collected sources.
- The site does not host any of the files. It only provides an index and links to full text files.
- Full text of many sources is behind a paywall. You may need to obtain a subscription to read full text.
Latest Issue
2024
| ![]() | Latest Issue:
|
History
· | ||
2023
| ![]() | A Year Ago:
|
· · · · | ||
2019
| ![]() | 5 Years Ago:
|
· · · · · | ||
2014
| ![]() | 10 Years Ago:
|
· · · · · | ||
2009
| ![]() | 15 Years Ago:
|
· · · · · | ||
2004
| ![]() | 20 Years Ago:
|
· · · · · · · · · · · · · · · · · · · · · · · · | ||
1981
| ![]() | Premiere Issue:
|
History of Ideas
This report provides a chronological review of significant trends and developments in computer architecture, drawing insights from a selection of article titles published between 1981 and 2025. By analyzing key themes, notable shifts, and continuities across different eras, we can trace the evolution of computing hardware and its surrounding ecosystem.
1981-1990: The Foundational Era – From Microprocessors to Early Parallelism
The 1980s marked the foundational era for modern microprocessors, with a strong focus on core architectural design, performance optimization, and the nascent stages of parallel computing. Initial efforts concentrated on defining and refining the very building blocks of computing.
Key Themes and Trends:
- Microprocessor Fundamentals: A dominant theme was the architectural design and comparison of new microprocessors, including 8-bit, 16-bit, and 32-bit chips. Articles like "An Architectural Comparison of Contemporary 16-Bit Microprocessors (1981)" and "The Intel 80386 - Architecture And Implementation (1985)" highlight the rapid evolution of CPU capabilities.
- Specialized Processors: The emergence of Digital Signal Processors (DSPs) was a significant development, as seen in titles such as "The Texas Instruments TMS320C25 Digital Signal Microcomputer (1986)" and "The DSP32C: AT&T’s second generation floating point digital signal processor (1988)." These specialized units began to address the growing need for efficient multimedia and signal processing.
- Early Parallel Computing: While still in its infancy, the concept of parallel processing began to appear, with explorations into multiprocessor systems and hypercube architectures. "A Microprocessor-based Hypercube Supercomputer (1986)" exemplifies this early push.
- Memory Management and Buses: Fundamental components like memory management units (MMUs) and bus standards were critical areas of research and standardization. "MicroStandards Special Feature: A Comparison of 32-Bit Buses (1985)" and "The Proposed IEEE 896 Futurebus (1984)" reflect the efforts to establish robust communication within systems.
- Fault Tolerance: Reliability in computing systems, particularly for critical applications, started gaining attention. "Fault-Tolerant Microprocessor-Based Systems (1984)" indicates early recognition of this vital area.
Notable Shifts and Continuities: This period primarily focused on establishing the core competencies of microprocessor design. The shift was from very basic computational units to more complex, higher-performance processors capable of handling floating-point arithmetic and, eventually, rudimentary parallel tasks. The emphasis was on raw computational power and the underlying infrastructure. Legal discussions primarily revolved around software copyright, indicating the growing commercial value of software.
1991-2000: The Multimedia and Internet Explosion – Specialization and Connectivity
The 1990s witnessed a dramatic shift driven by the explosion of multimedia applications and the commercialization of the Internet. This era demanded not just faster general-purpose processors but also specialized hardware and robust interconnectivity.
Key Themes and Trends:
- Multimedia Acceleration: This became a defining feature, with dedicated hardware for video encoding/decoding, graphics, and audio. Titles like "A 160 Mpixel/s IDCT processor for HDTV (1992)," "SH4 RISC multimedia microprocessor (1998)," and "Implementing Streaming SIMD Extensions on the Pentium III Processor (2000)" illustrate the drive to handle rich media.
- Internet's Rise: The commercialization of the Internet introduced new demands for networking hardware, data processing, and security. "Commercializing the Internet (1998)" and "Cache Memory Design for Internet Processors (2000)" reflect this.
- Processor Architectures Advance: Superscalar and VLIW architectures became central to achieving higher performance. "The Power PC 601 microprocessor (1993)," "The Mips R10000 superscalar microprocessor (1996)," and "The MAJC Architecture: A Synthesis of Parallelism and Scalability (2000)" demonstrate this trend.
- Intellectual Property and Standards: Legal battles and discussions around intellectual property (IP) and standardization became increasingly prominent. "Licensing IP embodied in standards (1999)" and "Napster: A Walking Copyright Infringement? (2000)" show the rising complexity of legal issues in the tech world.
- Embedded Systems Growth: Microcontrollers and embedded systems continued their expansion, especially in automotive and industrial control. "Embedded control problems, Thumb, and the ARM7TDMI (1995)" and "A codesign approach for safety-critical automotive applications (1998)" highlight this.
- Early Chiplet/Integration Concepts: Though not yet mainstream, ideas about integrating multiple chips on a package or designing for modularity began to emerge. "Chip-package codesign for high-frequency circuits and systems (1998)" is an early indicator.
Notable Shifts and Continuities: The decade marked a significant shift from a primary focus on raw CPU performance to a more holistic view of system performance, driven by multimedia and network demands. Hardware specialization became crucial. The increasing complexity of software and hardware led to more explicit discussions of design methodology and validation. The legal landscape became more active, grappling with the implications of digital content and standard essential patents.
2001-2010: The Multicore and Data Center Era – Power, Performance, and Scale
The early 2000s were defined by the widespread adoption of multicore processors and the burgeoning importance of data centers, leading to new challenges in power consumption, interconnects, and scalable system design.
Key Themes and Trends:
- Multicore Dominance: The "multicore revolution" was in full swing, with major players releasing their multi-core designs. "The Cell Processor (2005)" and "Power7: IBM's Next-Generation Server Processor (2010)" are prime examples, shifting the focus from clock speed to parallelism.
- Data Center Scale Computing: The rise of large-scale online services and cloud computing made data centers central. "Server Designs for Warehouse-Computing Environments (2009)" and "Google-Wide Profiling: A Continuous Profiling Infrastructure for Data Centers (2010)" reflect this.
- Power and Energy Efficiency: As clock speeds hit limits and core counts increased, power consumption became a critical concern, leading to the concept of the "power wall" and "dark silicon." "Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors (2000)" and "Dark Silicon and Dangerous Predictions (2013)" (though 2013, the concept originates earlier in this period) illustrate this.
- Advanced Interconnects: Efficient communication between cores and servers was paramount. "QsNetII: Defining High-Performance Network Design (2005)," "High-Performance Interconnects (2006)," and "Ethernet for High-Performance Data centers (2010)" show the focus on improving network fabric.
- Reliability and Fault Tolerance: As systems grew more complex and critical, advanced fault tolerance and reliability became more sophisticated. "TRUSS: A Reliable, Scalable Server Architecture (2005)" and "Optimizing Fault Tolerance in Embedded Distributed Systems (2000)" continued this line of research.
- Early Machine Learning for Systems: While not yet the AI boom, initial ideas about using ML for systems optimization started appearing. "Dynamic Multicore Resource Management: A Machine Learning Approach (2009)" is an early example.
Notable Shifts and Continuities: The core shift was from single-processor optimization to multi-processor and system-level scaling. Power consumption, once a secondary concern, became a primary design constraint. This period also saw the deepening of the collaboration between hardware and software, as reflected in concepts like "hardware-software codesign." Legal issues continued to be prominent, particularly around patent disputes and antitrust in the tech industry.
2011-2015: The Post-Moore Era and Heterogeneous Computing – Diversification and Optimization
This period clearly marked a response to the slowing of Moore's Law, leading to a proliferation of specialized architectures and a strong emphasis on heterogeneous computing, where different processing units collaborate for efficiency.
Key Themes and Trends:
- Heterogeneous Computing Takes Center Stage: The idea of combining CPUs, GPUs, and FPGAs for specific workloads became a dominant paradigm. "CPUs and GPUs: Who Owns the Future? (2011)," "Achieving Exascale Capabilities through Heterogeneous Computing (2015)," and "Reimagining Heterogeneous Computing (2015)" showcase this.
- Post-Moore Era Acknowledgement: The industry explicitly recognized the challenges to traditional scaling. "Navigating the Seismic Shift of Post-Moore Computer Systems Design (2021)" (though published later, the discussions and research began earlier) and "Dark Silicon and the End of Multicore Scaling (2012)" reflect this.
- Emerging Memory Technologies: Beyond traditional DRAM, new memory solutions, particularly non-volatile memory and processing-in-memory (PIM), gained traction to address the memory wall. "Memory Persistency: Semantics for Byte-Addressable Nonvolatile Memory Technologies (2015)" and "Resistive Ternary Content Addressable Memory Systems for Data-Intensive Computing (2015)" are examples.
- Approximate Computing: To achieve extreme energy efficiency, relaxing precision requirements became a viable strategy for certain applications. "Decoupled Control and Data Processing for Approximate Near-Threshold Voltage Computing (2015)" and "Axilog: Abstractions for Approximate Hardware Design and Reuse (2015)" exemplify this.
- Cloud and Data Center Evolution: Continued optimization of data centers for performance, energy, and scalability was a constant. "A Reconfigurable Fabric for Accelerating Large-Scale Datacenter Services (2015)" and "Optimizing Data-Center TCO with Scale-Out Processors (2012)" demonstrate this.
- Mobile Systems Focus: With the smartphone boom, power-efficient mobile processors became crucial. "The Medfield Smartphone: Intel Architecture in a Handheld Form Factor (2013)" and "The Role of the CPU in Energy-Efficient Mobile Web Browsing (2015)" show this emphasis.
Notable Shifts and Continuities: This era saw a definitive shift from homogenous, general-purpose scaling to a highly specialized and heterogeneous approach. The "dark silicon" challenge pushed designers to find new ways to extract performance per watt. Memory became an even more pronounced bottleneck, driving innovation in new memory technologies. The focus on software-hardware co-design intensified, recognizing that efficient heterogeneous systems require integrated approaches.
2016-2020: The AI and IoT Revolution – Accelerators, Security, and Open Hardware
The latter half of the 2010s was dominated by the explosion of Artificial Intelligence and the pervasive expansion of the Internet of Things, profoundly reshaping computer architecture. Security concerns also reached new heights with sophisticated attacks.
Key Themes and Trends:
- AI/ML Accelerators: The demand for AI processing power led to an arms race in specialized hardware. "Motivation for and Evaluation of the First Tensor Processing Unit (2018)," "Loihi: A Neuromorphic Manycore Processor with On-Chip Learning (2018)," and "Inside Project Brainwave's Cloud-Scale, Real-Time AI Processor (2019)" are prime examples.
- Pervasive IoT: The Internet of Things became a tangible reality, pushing computing to the very edge. "The Internet of Things Revolution (2016)," "Visual IoT: Ultra-Low-Power Processing Architectures and Implications (2017)," and "FerroElectronics for Edge Intelligence (2020)" highlight this trend.
- Enhanced Security: With Spectre, Meltdown, and other vulnerabilities, hardware-level security became a critical concern. "On the Spectre and Meltdown Processor Security Vulnerabilities (2019)," "Secure Architectures (2019)," and "Hardware-Assisted Security in Electronic Control Units (2018)" show the response.
- Agile and Open-Source Hardware: A movement towards more collaborative and agile design methodologies emerged, especially with RISC-V and open-source frameworks. "An Agile Approach to Building RISC-V Microprocessors (2016)," "Agile Hardware Design (2020)," and "Chipyard: Integrated Design, Simulation, and Implementation Framework for Custom SoCs (2020)" are examples.
- Chiplet Architectures Emerge: The concept of disaggregating large chips into smaller, interconnected "chiplets" began gaining traction as a new scaling strategy. "TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Package Optical I/O (2020)" is a key indicator.
- Quantum Computing: While still nascent, quantum computing became a visible area of architectural research. "Architecting Noisy Intermediate-Scale Quantum Computers (2020)" indicates early efforts.
Notable Shifts and Continuities: AI moved from a niche to the central driving force for innovation, leading to entirely new processor designs. IoT extended the reach of computing to new environments, demanding ultra-low power and specialized edge processing. The need for security moved beyond software to deep hardware layers. The industry began actively exploring new modular approaches to chip design (chiplets) to overcome physical scaling limitations.
2021-2025: The Chiplet Ecosystem, Sustainable AI, and Large Language Models
The most recent period is characterized by the standardization and broad adoption of chiplet-based designs, an intensified focus on the sustainability of AI, and the transformative impact of Large Language Models (LLMs) on system architecture.
Key Themes and Trends:
- Chiplet Ecosystem Standardization (UCIe & CXL): This is perhaps the most defining trend, with significant efforts to create open standards for die-to-die connectivity and memory disaggregation. Titles like "UCIe: Standard for an Open Chiplet Ecosystem (2025)," "Compute Express Link (CXL): Enabling Heterogeneous Data-Centric Computing With Heterogeneous Memory Hierarchy (2023)," and "Interconnect Design for Heterogeneous Integration of Chiplets in the AMD Instinct MI300X Accelerator (2025)" highlight this.
- Sustainable AI and Environmentally Conscious Computing: The energy consumption of AI models, especially LLMs, brought sustainability to the forefront. "Artificial Intelligence and the Jevons Paradox (2025)," "Quantifying CO2 Emission Reduction Through Spatial Partitioning in Deep Learning Recommendation System Workloads (2024)," and "Sustainable AI Processing at the Edge (2023)" demonstrate this urgent concern.
- Large Language Models (LLMs) as Workload Drivers: LLMs are explicitly shaping network and memory architectures. "Spine-Free Networks for Large Language Model Training (2025)" and "Understanding and Characterizing Communication Characteristics for Distributed Transformer Models (2025)" point to the unique demands of these models.
- Advanced Memory and Interconnects: Innovations in memory tiering, pooling, and high-performance interconnects are directly supporting chiplet and AI demands. "Improving Key-Value Cache Performance With Heterogeneous Memory Tiering (2025)" and "Memory Pooling With CXL (2023)" are prominent.
- TinyML and Edge AI Advancement: The evolution of AI at the edge, especially for IoT, continues with a focus on robust operations and on-device learning. "Advancing Tiny Machine Learning Operations: Robust Model Updates in the Internet of Intelligent Vehicles (2025)" is an example.
- Persistent Legal and Economic Discourse: Legal challenges related to intellectual property (e.g., "A Review of Wisconsin Alumni Research Foundation v. Apple - Part III (2025)") and broader economic implications of AI (e.g., "Rise of the Agentic AI Workforce (2025)") remain salient.
Notable Shifts and Continuities: This period represents the maturation of trends identified in earlier decades, particularly heterogeneous computing and AI. The key shift is the industry-wide embrace and standardization of chiplets (UCIe) and memory disaggregation (CXL) as the primary scaling strategy, moving beyond traditional monolithic chip design. The focus on AI has narrowed to specifically address LLMs and, critically, their environmental footprint. Legal discussions are becoming more sophisticated, addressing the complexities of modern, highly integrated, and AI-driven systems.
A searchable index (by theme and year) of all 259 IEEE Micro cover pages (from 1981 to present).

2024





2023






2022






2021






2020






2019






2018






2017






2016






2015






2014






2013






2012






2011






2010






2009






2008






2007






2006






2005






2004






2003






2002






2001






2000






1999






1998






1997






1996






1995






1994






1993






1992






1991






1990






1989






1988






1987






1986






1985






1984






1983






1982




1981




A searchable index (title, author, year) of all 2732 IEEE Micro articles (excluding table of contents, front covers, commercials).
Common themes: architecture; chip; hardware; network;

A searchable index of 5815 IEEE Micro authors. 1085 authors published multiple articles.

Shane Greenstein | 168 articles |
Richard Stern | 126 articles |
Richard Mateosian | 85 articles |
Lieven Eeckhout | 39 articles |
Lizy Kurian John | 36 articles |
Pradip Bose | 35 articles |
Ken Sakamura | 32 articles |
Joshua Yi | 30 articles |
Victor Nelson | 23 articles |
David Brooks | 22 articles |
Onur Mutlu | 21 articles |
Erik Altman | 21 articles |
Hsien-Hsin Lee | 18 articles |
Philip Emma | 18 articles |
Gary Robinson | 18 articles |
Doug Burger | 17 articles |
Babak Falsafi | 17 articles |
Robert Stewart | 17 articles |
David Albonesi | 16 articles |
David Hannum | 16 articles |
Mark Hill | 15 articles |
David Patterson | 15 articles |
William Dally | 15 articles |
Nam Sung Kim | 14 articles |
Krste Asanovic | 14 articles |
Josep Torrellas | 14 articles |
Vijay Janapa Reddi | 14 articles |
Gu-Yeon Wei | 14 articles |
Timothy Sherwood | 13 articles |
Derek Chiou | 13 articles |
Mateo Valero | 13 articles |
Trevor Mudge | 13 articles |
Christos Kozyrakis | 12 articles |
Stephen Keckler | 12 articles |
Margaret Martonosi | 12 articles |
Thomas Wenisch | 12 articles |
Joel Emer | 11 articles |
Parthasarathy Ranganathan | 11 articles |
Yuan Xie | 11 articles |
Hoi-Jun Yoo | 11 articles |
Luis Ceze | 11 articles |
David Kahaner | 11 articles |
Kunle Olukotun | 10 articles |
Kevin Skadron | 10 articles |
Norman Jouppi | 10 articles |
Karthikeyan Sankaralingam | 10 articles |
Yale Patt | 10 articles |
Steven Reinhardt | 9 articles |
Hyesoon Kim | 9 articles |
Abhishek Bhattacharjee | 9 articles |
Dean Tullsen | 9 articles |
Rajeev Balasubramonian | 9 articles |
Fabrizio Petrini | 9 articles |
Hadi Esmaeilzadeh | 9 articles |
Antonio González | 9 articles |
Resit Sendag | 9 articles |
Li-Shiuan Peh | 9 articles |
Stephen Diamond | 9 articles |
Dhabaleswar Panda | 8 articles |
Gabriel Loh | 8 articles |
Tony Nowatzki | 8 articles |
Sarita Adve | 8 articles |
Osman Unsal | 8 articles |
Vijaykrishnan Narayanan | 8 articles |
Ravi Iyer | 8 articles |
Steven Swanson | 8 articles |
Francisco Cazorla | 8 articles |
Alper Buyuktosunoglu | 8 articles |
John Lockwood | 8 articles |
Michael Smolin | 8 articles |
Kenneth Majithia | 8 articles |
James Hoe | 7 articles |
Pedro Javier García | 7 articles |
Tushar Krishna | 7 articles |
Debendra Das Sharma | 7 articles |
Michael Ferdman | 7 articles |
Carole-Jean Wu | 7 articles |
Christina Delimitrou | 7 articles |
Mike O'Connor | 7 articles |
Ronald Dreslinski | 7 articles |
Fumio Arakawa | 7 articles |
Mircea Stan | 7 articles |
Ruby Lee | 7 articles |
Alex Ramírez | 7 articles |
Michael Flynn | 7 articles |
Marc Tremblay | 7 articles |
Nick McKeown | 7 articles |
Marlin Mickle | 7 articles |
Francisco Quiles | 6 articles |
Boris Grot | 6 articles |
Daniel Sorin | 6 articles |
Simha Sethumadhavan | 6 articles |
Alvin Lebeck | 6 articles |
Michael Mattioli | 6 articles |
Cliff Young | 6 articles |
Changkyu Kim | 6 articles |
Frederic Chong | 6 articles |
David Blaauw | 6 articles |
Engin Ipek | 6 articles |
Wen-Mei Hwu | 6 articles |
Sanjay Patel | 6 articles |
Naveen Muralimanohar | 6 articles |
Scott Mahlke | 6 articles |
Thomas Conte | 6 articles |
James Smith | 6 articles |
Adrián Cristal | 6 articles |
Milo Martin | 6 articles |
Ravi Rajwar | 6 articles |
Keith Diefendorff | 6 articles |
Charles Moore | 6 articles |
David Culler | 6 articles |
Hubert Kirrmann | 6 articles |
Tho Le-Ngoc | 6 articles |
Peter Rony | 6 articles |
Hari Subramoni | 5 articles |
Jesús Escudero-Sahuquillo | 5 articles |
Donghyeon Han | 5 articles |
Joo-Young Kim | 5 articles |
John Hennessy | 5 articles |
Ricardo Bianchini | 5 articles |
Yan Solihin | 5 articles |
Borivoje Nikolic | 5 articles |
Yakun Sophia Shao | 5 articles |
Christopher Batten | 5 articles |
Jae Lee | 5 articles |
Christopher Fletcher | 5 articles |
Sudhanva Gurumurthi | 5 articles |
Natalie Enright Jerger | 5 articles |
Moinuddin Qureshi | 5 articles |
Ahmed Louri | 5 articles |
Karin Strauss | 5 articles |
David August | 5 articles |
Daniel Lustig | 5 articles |
John Kubiatowicz | 5 articles |
Adrian Caulfield | 5 articles |
Eric Chung | 5 articles |
Martha Kim | 5 articles |
Jaume Abella | 5 articles |
Michael Taylor | 5 articles |
Rich Belgard | 5 articles |
Dionisios Pnevmatikatos | 5 articles |
Olivier Temam | 5 articles |
Benjamin Lee | 5 articles |
Jichuan Chang | 5 articles |
David Wood | 5 articles |
Xiaodong Zhang | 5 articles |
Balaji Prabhakar | 5 articles |
Barry Johnson | 5 articles |
Thomas Anderson | 5 articles |
Karl-Erwin Grosspietsch | 5 articles |
Andrew Allison | 5 articles |
Louis Vroomen | 5 articles |
Yasuhiko Nakashima | 4 articles |
José Duato | 4 articles |
Brandon Lucia | 4 articles |
Indrani Paul | 4 articles |
John Carter | 4 articles |
Jongse Park | 4 articles |
Vijayalakshmi Srinivasan | 4 articles |
Karthik Sriram | 4 articles |
Jayneel Gandhi | 4 articles |
Dimitrios Skarlatos | 4 articles |
Heiner Litz | 4 articles |
Sukhan Lee | 4 articles |
Jinin So | 4 articles |
Jeonghyeon Cho | 4 articles |
Joshua Fryman | 4 articles |
John Kim | 4 articles |
Masaaki Kondo | 4 articles |
Hiroki Matsutani | 4 articles |
Tinoosh Mohsenin | 4 articles |
Stijn Eyerman | 4 articles |
Mengjia Yan | 4 articles |
Ninghui Sun | 4 articles |
Jack Choquette | 4 articles |
Luca Benini | 4 articles |
Leonid Yavits | 4 articles |
Subhasish Mitra | 4 articles |
Daniel Sánchez | 4 articles |
Ryan Grant | 4 articles |
Makoto Ikeda | 4 articles |
Reetuparna Das | 4 articles |
Karthik Swaminathan | 4 articles |
Charles Webb | 4 articles |
Ulya Karpuzcu | 4 articles |
Luca Carloni | 4 articles |
Jangwoo Kim | 4 articles |
Amir Yazdanbakhsh | 4 articles |
H. Peter Hofstee | 4 articles |
David Wentzlaff | 4 articles |
Michael Pellauer | 4 articles |
Bruce Jacob | 4 articles |
Andreas Moshovos | 4 articles |
Satish Narayanasamy | 4 articles |
Dennis Sylvester | 4 articles |
Jeremy Fowers | 4 articles |
Michael Papamichael | 4 articles |
Michael Haselman | 4 articles |
Stephen Heil | 4 articles |
Sitaram Lanka | 4 articles |
Yongpan Liu | 4 articles |
Tor Aamodt | 4 articles |
Jeffrey Vetter | 4 articles |
Wei Huang | 4 articles |
Cyriel Minkenberg | 4 articles |
Todd Austin | 4 articles |
Pradeep Dubey | 4 articles |
Anastasia Ailamaki | 4 articles |
Mikko Lipasti | 4 articles |
Michael Floyd | 4 articles |
Steven Lumetta | 4 articles |
Li Zhao | 4 articles |
Michael Smith | 4 articles |
Christoforos Kozyrakis | 4 articles |
John Nickolls | 4 articles |
Anant Agarwal | 4 articles |
Michel Dubois | 4 articles |
John Wawrzynek | 4 articles |
Brad Calder | 4 articles |
Kunio Uchiyama | 4 articles |
Cosimo Antonio Prete | 4 articles |
Alberto Sangiovanni-Vincentelli | 4 articles |
Devavrat Shah | 4 articles |
Fabrizio Lombardi | 4 articles |
David Gustavson | 4 articles |
Paul Borrill | 4 articles |
Veljko Milutinovic | 4 articles |
Ware Myers | 4 articles |
Paul Zsombor-Murray | 4 articles |
Paul Chow | 3 articles |
Ryusuke Egawa | 3 articles |
Yasutaka Wada | 3 articles |
Aamir Shafi | 3 articles |
Samuel Naffziger | 3 articles |
Ralph Wittig | 3 articles |
Vinod Kathail | 3 articles |
Jian Weng | 3 articles |
Richard Grisenthwaite | 3 articles |
Ram Sivaramakrishnan | 3 articles |
Urs Hölzle | 3 articles |
Kim Hazelwood | 3 articles |
Gabriel Falcão | 3 articles |
Ali Saidi | 3 articles |
Rajit Manohar | 3 articles |
Hung-Wei Tseng | 3 articles |
Yu Gan | 3 articles |
Rakesh Kumar | 3 articles |
Timothy Jones | 3 articles |
Shinhaeng Kang | 3 articles |
Yeongon Cho | 3 articles |
Jin Hyun Kim | 3 articles |
Joon-Ho Song | 3 articles |
Kyomin Sohn | 3 articles |
Kurt Keutzer | 3 articles |
Sean Lie | 3 articles |
Jae-sun Seo | 3 articles |
Edith Beigné | 3 articles |
Sangyeob Kim | 3 articles |
Sangjin Kim | 3 articles |
Tadahiro Kuroda | 3 articles |
Adrian Sampson | 3 articles |
Ajay Joshi | 3 articles |
Mahdi Nazm Bojnordi | 3 articles |
Shuangchen Li | 3 articles |
Guru Venkataramani | 3 articles |
Ibrahim Hur | 3 articles |
Joseph Devietti | 3 articles |
Jinsu Lee | 3 articles |
Emil Talpes | 3 articles |
Debjit Das Sarma | 3 articles |
Lisa Hsu | 3 articles |
Hideharu Amano | 3 articles |
Sheng Li | 3 articles |
Jingtong Hu | 3 articles |
Christian Jacobi | 3 articles |
David Atienza | 3 articles |
José Moreira | 3 articles |
Phillip Stanley-Marbell | 3 articles |
Efraim Rotem | 3 articles |
Sergi Abadal | 3 articles |
Oguz Ergin | 3 articles |
Juhyoung Lee | 3 articles |
John Mashey | 3 articles |
Ramesh Illikkal | 3 articles |
Augusto Vega | 3 articles |
Dave Christie | 3 articles |
Koen Bertels | 3 articles |
Jonathan Baker | 3 articles |
David Biancolin | 3 articles |
Sagar Karandikar | 3 articles |
Alon Amid | 3 articles |
Jonathan Bachrach | 3 articles |
Maya Gokhale | 3 articles |
Jishen Zhao | 3 articles |
Peter Mattson | 3 articles |
Joshua San Miguel | 3 articles |
Luiz André Barroso | 3 articles |
Doe Hyun Yoon | 3 articles |
William Starke | 3 articles |
Ozgur Sinanoglu | 3 articles |
Brucek Khailany | 3 articles |
Suman Datta | 3 articles |
Michael Garland | 3 articles |
Jose Renau | 3 articles |
Luis Vega | 3 articles |
Jared Roesch | 3 articles |
Joseph McMahan | 3 articles |
Mark Oskin | 3 articles |
Michael Bedford Taylor | 3 articles |
Svilen Kanev | 3 articles |
Tipp Moseley | 3 articles |
Yuhao Zhu | 3 articles |
Dan Bouvier | 3 articles |
José Joao | 3 articles |
Jorge Albericio | 3 articles |
Roman Kaplan | 3 articles |
Ran Ginosar | 3 articles |
Mohit Tiwari | 3 articles |
Saibal Mukhopadhyay | 3 articles |
Sandhya Dwarkadas | 3 articles |
Kalin Ovtcharov | 3 articles |
Todd Massengill | 3 articles |
Ming Liu | 3 articles |
Daniel Lo | 3 articles |
Lisa Woods | 3 articles |
Brad Burgess | 3 articles |
Ronny Ronen | 3 articles |
Leonidas Kosmidis | 3 articles |
Takumi Maruyama | 3 articles |
George Kornaros | 3 articles |
Nikos Hardavellas | 3 articles |
Simone Campanoni | 3 articles |
Kaisheng Ma | 3 articles |
Xueqing Li | 3 articles |
John Sartori | 3 articles |
Denis Foley | 3 articles |
John Sell | 3 articles |
Allan Knies | 3 articles |
Matthew Halpern | 3 articles |
Haohuan Fu | 3 articles |
Uri Weiser | 3 articles |
Chris Dwyer | 3 articles |
Andrew Putnam | 3 articles |
Michael Swift | 3 articles |
Aamer Jaleel | 3 articles |
Ronald Kalla | 3 articles |
Mitchell Gusat | 3 articles |
Daniel Nemirovsky | 3 articles |
Mario Nemirovsky | 3 articles |
Keith Underwood | 3 articles |
Jung Ho Ahn | 3 articles |
Per Stenström | 3 articles |
Kathryn McKinley | 3 articles |
Chen-Han Ho | 3 articles |
Yunji Chen | 3 articles |
Jaime Moreno | 3 articles |
Manolis Katevenis | 3 articles |
Toshio Yoshida | 3 articles |
Ryuji Kan | 3 articles |
Hiroshi Okano | 3 articles |
Avinash Karanth Kodi | 3 articles |
Chaitali Chakrabarti | 3 articles |
James Goodman | 3 articles |
Brad Cohen | 3 articles |
Steve Scott | 3 articles |
Rumi Zahir | 3 articles |
Malcolm Allen-Ware | 3 articles |
Nathan Binkert | 3 articles |
Moray McLaren | 3 articles |
T. Vijaykumar | 3 articles |
Mattan Erez | 3 articles |
Allen Baum | 3 articles |
Hassan Chafi | 3 articles |
Daniel Johnson | 3 articles |
John Kelm | 3 articles |
Ravishankar Iyer | 3 articles |
Mark Horowitz | 3 articles |
Arvind | 3 articles |
Pat Conway | 3 articles |
Krisztián Flautner | 3 articles |
José Martínez | 3 articles |
Amit Kumar | 3 articles |
Keren Bergman | 3 articles |
Ron Brightwell | 3 articles |
Shailender Chaudhry | 3 articles |
Alaa Alameldeen | 3 articles |
Shekhar Borkar | 3 articles |
Diana Marculescu | 3 articles |
Yuanyuan Zhou | 3 articles |
Wu-chun Feng | 3 articles |
Alan Jay Smith | 3 articles |
Jared Stark | 3 articles |
Haitham Akkary | 3 articles |
Srikanth Srinivasan | 3 articles |
Osamu Takahashi | 3 articles |
Sang Dhong | 3 articles |
Joel Silberman | 3 articles |
Zhichun Zhu | 3 articles |
Patrick Hung | 3 articles |
Michael Chen | 3 articles |
Shubhendu Mukherjee | 3 articles |
Alan Clements | 3 articles |
Luciano Lavagno | 3 articles |
Karl-Erwin Großpietsch | 3 articles |
Michel Cekleov | 3 articles |
Pankaj Gupta | 3 articles |
Cezary Dubnicki | 3 articles |
Antonio Torralba | 3 articles |
Leopoldo García Franquelo | 3 articles |
Vincenzo Catania | 3 articles |
Marco Russo | 3 articles |
J. Michael O'Connor | 3 articles |
Jörg Kaiser | 3 articles |
Mahmoud Manzoul | 3 articles |
Ikuya Kawasaki | 3 articles |
Lorenzo Vita | 3 articles |
Julio Gutiérrez | 3 articles |
Michael Allen | 3 articles |
Arun Sood | 3 articles |
Jean-Daniel Nicoud | 3 articles |
Ralph McGarity | 3 articles |
Eli Fathi | 3 articles |
Clifford Grossner | 3 articles |
Thiruvengadam Radhakrishnan | 3 articles |
Douglas MacGregor | 3 articles |
James Farrell | 3 articles |
Paul Stigall | 3 articles |
Robert Hudson | 3 articles |
Peter Holck | 3 articles |
Donguk Moon | 2 articles |
Bharath Ramesh | 2 articles |
Cristina Olmedilla | 2 articles |
Wenhao Sun | 2 articles |
Mustafa Abduljabbar | 2 articles |
Manjunath Gorentla Venkata | 2 articles |
Whit Schonbein | 2 articles |
Mariam Elgamal | 2 articles |
Peter Onufryk | 2 articles |
Swadesh Choudhary | 2 articles |
Nathan Kalyanasundharam | 2 articles |
Tony Chan Carusone | 2 articles |
Patrick Meaney | 2 articles |
Ashish Sirasao | 2 articles |
Satyaprakash Pareek | 2 articles |
Chita Das | 2 articles |
Alejandro Rico | 2 articles |
Artem Khyzha | 2 articles |
Raghu Prabhakar | 2 articles |
Kevin Brown | 2 articles |
Minsu Kim | 2 articles |
Swagath Venkataramani | 2 articles |
Bilge Acun | 2 articles |
Christian Belady | 2 articles |
Anand Sivasubramaniam | 2 articles |
Leah Shalev | 2 articles |
Hani Ayoub | 2 articles |
Nafea Bshara | 2 articles |
Michael Marty | 2 articles |
Raghavendra Pradyumna Pothukuchi | 2 articles |
Mingyu Liang | 2 articles |
Abhishek Dhanotia | 2 articles |
Mohammadkazem Taram | 2 articles |
Jerry Zhao | 2 articles |
Ajeya Naithani | 2 articles |
Sam Ainsworth | 2 articles |
Donald Porter | 2 articles |
Dan Schatzberg | 2 articles |
Chunqiang Tang | 2 articles |
Kyungsoo Kim | 2 articles |
Jin Jung | 2 articles |
Jong-Geon Lee | 2 articles |
Hyeonsu Kim | 2 articles |
Yuhwan Ro | 2 articles |
Seungwon Lee | 2 articles |
Mahesh Subramony | 2 articles |
Jason Howard | 2 articles |
Shamsul Abedin | 2 articles |
Ada Gavrilovska | 2 articles |
Scott Levy | 2 articles |
Xiaoyi Lu | 2 articles |
Dennis Abts | 2 articles |
Renyuan Zhang | 2 articles |
Junha Ryu | 2 articles |
Ziyun Li | 2 articles |
Shunyao Zhang | 2 articles |
Yonggan Fu | 2 articles |
Shang Wu | 2 articles |
Haoran You | 2 articles |
Marius Brehler | 2 articles |
Boris Murmann | 2 articles |
Mozhgan Navardi | 2 articles |
Tejaswini Manjunath | 2 articles |
Anirban Nag | 2 articles |
Xin Long | 2 articles |
Fan Yao | 2 articles |
Fabio Checconi | 2 articles |
Calvin Lin | 2 articles |
Udit Gupta | 2 articles |
Joseph Ravichandran | 2 articles |
Meghna Pancholi | 2 articles |
A searchable selection of 0 interesting, educative, thought-provoking, or contraversial quotes.

Here you will find word clouds for each decade. Word clouds are generated from terms used in titles of articles.
Contact
![]() | This site is curated and maintained by Zeljko Obrenovic (@zeljko_obren). Contact him for any questions or suggestions. |